summary

Returns a short summary of current instrument state

# Examples

# Sample response

Moku:Go Logic Analyzer
Pin 1 - Output, Clock, 31.25 MHz
Pin 2 - Input
Pin 3 - Input
Pin 4 - Input
Pin 5 - Input
Pin 6 - Input
Pin 7 - Input
Pin 8 - Input
Pin 9 - Input
Pin 10 - Input
Pin 11 - Input
Pin 12 - Input
Pin 13 - Input
Pin 14 - Input
Pin 15 - Input
Pin 16 - Input
Time span 500.0 ms, 
time offset 250.0 ms
Edge trigger: Pin 1, 
Auto mode, 
Rising edge
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22