set_pin_mode

Sets the state of Moku:Go's digital IO to either input or output

This method is unavailable for Moku:Pro and Moku:Lab because these models do not have digital IO port.

Parameters

integer required
Target pin to configure
allowed values:1 to 16
string required
allowed values:X, I, PG1, PG2
boolean
Disable all implicit conversions and coercions.
default: true

# Examples

# Sample response

{"pin": "pin1", "state":"PG1"}
1